Forgot to add a file

This commit is contained in:
alpine9000 2016-03-14 13:15:01 +11:00
parent e179e947f1
commit e48481ce4f
2 changed files with 8 additions and 0 deletions

1
tools/imagecon/TODO.txt Normal file
View File

@ -0,0 +1 @@
1. Look into palette conversion issue

7
tools/imagecon/png.h Normal file
View File

@ -0,0 +1,7 @@
#pragma once
extern void
png_read(imagecon_image_t* ic, char* file_name);
extern void
png_write(imagecon_image_t* ic, char* file_name);